研究会プログラム

第84 回設計自動化研究会 (発表件数: 7件)

日時: 平成9年5月23日(金) 13:15 〜 17:00
会場: 情報処理学会事務局会議室
議題: レイアウトと一般

午後1時15分 〜 5時
(1) セレクタを効率的に利用した論理回路合成手法
○鳥居 隆史、浅田 邦博(東大)

(2) 論理合成を用いた低消費電力回路への自動変換手法
水嶋紀子、河原林政道(NEC)、○中木琢夫、柿沼義則(日本電気テレコムシステム)、河村一(NEC)

(3) 多電源を用いた低消費電力化設計手法のメディア・プロセッサーへの適用
○石川貴史、宇佐美公良、野上一孝、五十嵐睦典、南文裕、川崎幸雄、金沢正博、青木孝哲、高野みどり、水野千春、市田真琴、園田慎次、高橋誠、畑中直行(東芝)

(4) ユークリッド距離におけるCritical Cutの効率的生成方法
○濱 利行、江藤 博明(日本IBM)

(5) 配線混雑緩和を目的とした大規模ゲートアレイ用配置改善手法
○定兼利行、高橋一浩、城田博史、寺井正幸(三菱)

(6) P2Lib: スタンダードセルライブラリ自動生成システム
○小野寺秀俊、平田昭夫、北村晃男、田丸啓吉(京大)

(7) 1997 International Symposium on Physical Design 報告
○石塚昭夫(NEC)

 

第85 回設計自動化研究会

--- VLSI設計の新しい大地 を考える研究会 ---

1997年10月28日(火)、29日(水) 於宮崎シーガイア 

            情報処理学会計算機アーキテクチャ研究会 (ARC)
            電子情報通信学会コンピュータシステム研究会 (CPSY)
            情報処理学会設計自動化研究会 (DA)
           電子情報通信学会フォールトトレラントシステム研究会 (FTS)
            電子情報通信学会 VLSI 設計技術研究会 (VLD)
            電子情報通信学会集積回路研究会 (ICD)

来る10月28日(火)、29日(水)に宮崎シーガイアで上記6研究会の共催の研究会が開催されます。計算機システムのアーキテクチャから、VLSIの設計技術、CAD技術に至る広い分野の研究者が一堂に会して、21世紀のVLSIシステムについて議論を行ないたいと考えています。最新の技術や研究の情報収集のチャンスです。論文発表、御参加をよろしくお願いします。6研究会の共通企画としまして、「21世紀に向けてのVLSIの研究開発について」の招待講演を考えています。全体企画講演ならびに各研究会からの御推薦による講演で、21世紀のVLSI設計についてのお話が聞けると思います。 

会場:宮崎シーガイア ホテルオーシャン45 / ワールドコンベンションセンター サミット(〒880 宮崎市山崎町浜山,宮崎空港よりシーガイア直行バスで30分,JR宮崎駅よりシーガイア直行バスで20分,「ホテルオーシャン45」下車.TEL [0985] 21-1155,FAX [0985] 21-1156) 

----------------------------------------------------------------------

28日 − 21世紀に向けてのVLSIの研究開発について −

1. 13:00 - 14:30 [基調講演] 次世代のPCプラットフォーム
西岡郁夫 (インテル株式会社)

2. 14:45 - 15:30 [招待講演] 21世紀のVLSIアーキテクチャ
村上和彰 (九大)

3. 15:35 - 16:15 [招待講演] サブクォータミクロンLSIの設計技術
山品正勝 (NEC)

4. 16:15 - 17:30 [招待講演] 非同期式VLSIシステム設計
南谷崇 (東大)

----------------------------------------------------------------------

29 日 8:00 - 17:00 下記の 3 つの研究会の並列開催

DA&CPSY研究会/ARC 研究会/FTS&VLD研究

 

----------------------------------------------------------------------

29 日  第85回 設計自動化(DA)研究会・コンピュータシステム研究会 (CPSY)

★ 第85回 設計自動化研究会 (発表件数:20)
(主査:吉田 憲司, 幹事:小山 正弘, 寺井 正幸, 若林 真一)

★ コンピュータシステム研究会 (CPSY)
専門委員長 弓場 敏嗣 副委員長 稲上 泰弘・名古屋 彰
幹事 本多 弘樹・上野 修一

29日午前 − システム設計とCAD技術および一般(1) −

1. On VLSI Decompositions for deBruijn Graphs
○今井賢,山田敏規,上野修一 (東工大)

2. 準同期式におけるクロック配線駆動配置手法
○高橋渡,高橋篤司,梶谷洋司(東工大)

3. Cost-Radius Balanced Plane Steiner Tree
○三林秀樹,高橋篤司,梶谷洋司(東工大)

4. リーフセル用配線システム
四宮典子,福井正博(松下電器),○西垣泰男(松下ソフトリサーチ)

5. ディープサブミクロンゲートアレイの設計事例
○堀内重則,古田康幸,鈴木五郎(日立)

6. Precomputed tableを用いたパストランジスタ論理の遅延計算手法
○李副烈(神戸大),小西健三(シャープ),瀧和男(神戸大)

7. 高速基板の伝送線路ノイズ自動検証システム
○薗田英明,小林光明,横田隆弘(日立)

8. アナデジ混在LSIのチップ一括機能検証手法の確立
○植松博(日立超LSIエンジニアリング),本野洋輔,岩渕真人,古川且洋(日立),山崎隆(日立超LSIエンジニアリング)

9. 連想メモリを搭載したハードウェアエンジンによる故障回路並列故障シミュレーションの高速化手法
○福山誠一郎,戸川望,佐藤政生,大附辰夫(早大)

10. 可変電圧プロセッサを用いたシステムレベルの電力最適化手法
○石原亨,安浦寛人(九大)

 

29日午後 − システム設計とCAD技術および一般(2) −

11. 完全なインターロックを行なうパイプラインCISC/RISCの設計教育
○高橋隆一,吉田典可(広島市立大)

12. ブロック統合を用いたFPGA面積最小化
○幸田武範,上林彌彦(京大)

13. 多量の配線要素をもつ通信処理向けFPGAの専用CADシステム
○室岡孝宏,高原厚,宮崎敏明,筒井章博(NTT)

14. ビットシリアルFPGAのフルカスタム設計
國枝博昭,一色剛,清水頭武信,Imanuddin Amril,○太田章久(東工大)

15. ビットシリアルFPGAのパイプラインデータパス合成法
國枝博昭,一色剛,○清水頭 武信,太田章久(東工大)

16. パターンによる回路変換を併用したトランスダクション法
○熊沢雅之(京大),澤田直(九大),上林彌彦(京大)

17. 対称変数の検出による関数分解の高速化と多段論理合成への応用
○澤田宏,山下茂,名古屋彰(NTT)

18. 属性文法を用いた VHDL-AMS の意味解析手法
○佐々木健(東工大),佐々木尚(東芝),水島和憲(東工大)

19. 合成ディレクティブを組み込んだ動作レベル設計記述言語
○舟本一久,五島正裕,森眞一郎,富田眞治(京大)

20. ハードウェアコンパイラBACH
○西田浩一(シャープ),Andrew Kay(シャープヨーロッパ研究所),山田晃久,神戸尚志(シャープ),野村俊夫(シャープヨーロッパ研究所)

◆28日の共通企画は,CPSY研究会,VLD研究会,FTS研究会,ICD研究会,情報処理学会計算機アーキテクチャ研究会, 設計自動化研究会の共催

◆29日のCPSY研究会は,情報処理学会 設計自動化研究会と共催

 

----------------------------------------------------------------------

29 日 ARC 研究会 議題:プロセッサ・アーキテクチャおよび一般

--- 8:00〜9:40 ---

(1) 3次元階層型超並列コンピュータネットワーク
堀口 進, 大木孝之(北陸先端大)
[概要] 超並列コンピュータの3次元実装に適した3次元階層型コンピュータネットワークを提案し、その性能について議論する。

(2) 次世代の高速大容量シリアルリンクアーキテクチャの提案
弘中哲夫, 土江竜雄(広島市大)
[概要] 現在のバス・アーキテクチャに変わる次世代の高速大容量シリアルリンクアーキテクチャを提案する.

(3) 大規模データパスプロセッサにおけるフェッチ機構の検討
辻 秀典, 中村 友洋, 吉瀬 謙二, 安島 雄一郎, 田中 英彦(東京大学大学院工学系研究科)
[概要] 大規模データパスプロセッサにおけるフェッチ機構について分岐予測方式に着目しその検討を行う

(4) 伝搬遅延による配線経路探索プロセッサ
佐野雅彦, 高橋義造(徳島大学工学部)
[概要] 迷路法において探索波伝搬遅延を探索コストとする経路探索法の提案と、これを処理する専用ハードウエアの概要に関する研究

--- 10:10〜11:50 ---

(5) ジオメトリプロセサProcyonのアーキテクチャ
安里 彰, 岩田 靖, 西本晴子(富士通研), 中山寛(富士通), 木村康則(富士通研)
[概要] ジオメトリプロセサProcyonのアーキテクチャの特徴について述べる。また、ソフトウェア開発環境にも触れる。

(6) ジオメトリプロセサ Procyon の評価
新井正樹(新情報富士通研), 西崎慎一郎(富士通 SSL), 安里彰, 小沢年弘, 木村康則(新情報富士通研)
[概要] コンパイラによるジオメトリプロセサ Procyon のための最適化とアーキテクチャの評価について述べる。

(7) 実行時再構成可能なアーキテクチャを用いたMPEGエンコーダの実現
國枝 博昭, 一色 剛, 大上 晃弘(東工大)
[概要] ストリーム処理を目的とした実行時再構成可能なアーキテクチャを開発し、MPEGエンコーダに応用した場合の評価を行った。

(8) 実時間可変構造パイプライン計算機における画像変換
長谷川誠(静岡大)
[概要] ディジタルカメラ等に頻用される画像変換等を例にとって本方式が可能とする新しい処理方式と構成法を示す.

--- 13:10〜14:50 ---

(9) オンチップMIMDプロセッサにおける実行時並列化機構の性能評価
玉造 潤史, 松本 尚, 平木 敬(東大)
[概要] 既に提案発表を行なったオンチップMIMDプロセッサ上での実行時並列化機構によって得られる速度向上性能の計測を行ない、その結果と特徴に関しての発表を行なう。

(10)低コストハードウェア分散共有メモリ
田中清史, 松本尚(東大), 対木潤(富士通), 平木敬(東大)
[概要] 低コストのハードウェアによる分散共有メモリの実装および、その性能評価を実機を用いて行なう。

(11)共有メモリ vs.メッセージパッシング
松本尚, 平木敬(東大)
[概要] 共有メモリとメッセージパッシングをモデル/実装の両面に関して比較検討し優劣を決する。

(12)非対称分散共有メモリにおける最適化コンパイル技法の評価
丹羽純平, 稲垣達氏, 松本尚, 平木敬(東大)
[概要] 非対称分散共有メモリ上において、共有書き込みのための一貫性維持コスト削減技法の評価を行う。

--- 15:20〜17:00 ---

(13)FPAA : Field Programmable Accumulator Array
越智裕之(広島市大)
[概要] 21世紀に向けてますます進歩する半導体技術にふさわしいFPGAアーキテクチャの将来像として、FPAAを提案する。

(14)非同期式プロセッサTITAC-2の性能解析
小沢基一, 高村明裕, 上野洋一郎, 南谷 崇(東工大)
[概要] 32ビット非同期式プロセッサTITAC-2におけるパイプライン動作のオーバーヘッドを考慮した性能解析の結果を報告する

(15)非同期式プロセッサを用いたコンピュータシステムの実現
上野洋一郎, 高村明裕, 小沢基一(東工大), 桑子雅史, 南谷 崇(東大)
[概要] クロックを全く持たない非同期式マイクロプロセッサ・チップを用いたコンピュータシステムの実現方法を示す。

(16)データパスの特性を考慮した非同期式制御回路の一設計手法
桑子雅史(東大), 石川 誠, 上野洋一郎(東工大), 南谷 崇(東大)
[概要] 非同期式マイクロプロセッサにおいてデータパス回路の処理遅延特性を考慮した制御回路設計の一手法を示す。

----------------------------------------------------------------------

29 日 FTS & VLD 研究会

★ フォールトトレラントシステム (FTS)
専門委員長 向殿 政雄 副委員長 藤原 英二 幹事 相京 隆・米田 友洋

★ VLSI 設計技術研究会 (VLD)
専門委員長 北沢 仁志 副委員長 安浦 寛人 幹事 木村 晋二・小川 公裕

29日午前 − フォールトトレラントシステムとテストおよび一般 −

1. フォールトトレラントソフトウェアに適したプロセッサ構成に関する一考察
○ 小川 聰 (NTTネットワークサービスシステム研究所)

2. バウンダリスキャンを用いたボード上でのフルスキャンテスト法
○ 鮫島康則、深沢友雄 (NTT 光ネットワークシステム研究所)

3. 完全故障検出効率を保証するRTLデータパスの非スキャンテスト容易化設計法
○和田弘樹、増澤利光 (奈良先端大・情報)、K. K. Saluja (ウイスコンシン大学)、藤原秀雄 (奈良先端大・情報)

4. 時間冗長方式とHamming符号を用いた組合せ回路内の2重故障のマスク
○ 吉田 たけお (琉球大学 工学部 情報工学科)

5. 遅延故障テストにおけるテストパス選択手法の検討
○ 谷 誠一郎, 寺元 光生, 深澤 友雄, 松広 一良 (NTT 光ネットワークシステム研究所)

6. Minimum tests for stuck-at faults of the adders
○ 梶原誠司,笹尾勤 (九州工業大学 情報工学部 電子情報工学科)

7. メモリバーンイン装置のテストパターン生成・制御回路の FPGAによる実現
○ 井口幸洋(明治大学 理工学部情報科学科),来住野知臣、横山治男(日本エンジニアリング)

8. 特定用途向けFPGAの一設計手法
○ 高原 厚、宮崎 敏明、室岡 孝宏、片山勝(NTTシステムエレクトロニクス研究所)、林 一博(NTT 光ネットワークシステム研究所)

9. 複数モジュールにより構成される回路仕様に対する効率的な形式的検証法
○ 竹中 崇,北道 淳司,西川 清史 (大阪大学大学院基礎工学研究科情報数理系専攻)

10. 二分決定グラフの非明示的表現の効率的な演算手法
○山内 仁, 高橋浩光 (岡山県立大学情報工学部情報通信工学科)

 

29日午後 − 高位合成手法および LSI 設計手法 −

11. 命令の動作的意味記述を用いたプロセッサ合成手法の提案
○伊藤真紀、武内良典、今井正治 (大阪大学 大学院基礎工学研究科情報数理系専攻)、塩見彰睦 (静岡大学 情報学部 情報科学科)

12. 組込みシステム向けリターゲッタブルコンパイラの方式
○山口雅之 (シャープ), 石浦菜岐佐 (阪大), 神戸尚志 (シャープ)

13. 組込みシステム向け高位合成システム
○山本哲三朗, 石浦菜岐佐, 山口雅之, 服部靖史 (阪大)

14. VLIWプロセッサ自動生成における演算器構成最適化の一手法
○大槻典正、武内良典、今井正治、浜口清治、柏原敏伸 (阪大)、引地信之 ((株) SRA)

15. 遅延時間の不確定性を考慮した高位合成のモジュール選択
○ 冨山 宏之、安浦 寛人 (九大)

16. 混載ASIC用DRAMモジュールジェネレータ
○竹内 秀輝、矢部 友章、宮野 信治、北城 岳彦 (東芝)、田沢雅昭(東芝情報システム)、圓角 元洋、山田 正昭、村方 正美 (東芝)

17. DRAMを用いた加算機能メモリの設計
○ 山岡 雅直, 小林幸史, 小林和淑, 田丸啓吉 (京都大学工学研究科電子通信工学専攻)

18. 遺伝的アルゴリズムを用いた階層的フロアプラン手法
  ○杉本千晶、島本隆(徳島大学)、坂本明雄(高知工科大学)、安斎裕臣、鈴木博之(日立マイコンシステム)

19. 方形パッキング手法によるMMIC向き自動レイアウトシステム
○澤 卓(奈良先端科学技術大学院大学), 長尾 明(シャープ株式会社), 白川功(大阪大学), 神戸 尚志(シャープ株式会社), 千原國宏(奈良先端科学技術大学院大学)

20. ビアサイズを考慮可能なグリッドレス配線手法
  ○坂口洋二、島本 隆(徳島大学)、坂本明雄(高知工科大学)

21. 隣接・層間配線を考慮した一配線手法
渡邊 琢美 (NTT 入出力システム研究所)

 

第86回設計自動化研究会 (発表件数:11)

第119回 計算機アーキテクチャ研究会 (主査:中島 浩、 幹事:木村 康則、関口 智嗣、宮田 裕行)と共催

日時:平成9年12月11日(木) 10:30〜17:30

会場:大阪大学基礎工学部国際棟シグマホール (〒560 大阪府豊中市待兼山町1-3)

議題:機能論理設計,アーキテクチャ設計支援と一般

--- 10:30〜12:00 ---

(1) 並列計算機ネットワーク用ルータ・チップの自動設計システム
  村上祥基 (むらかみ よしき) (筑波大学大学院 理工学研究科), 朴泰祐 (ぼく たいすけ) (筑波大学 電子・情報工学系)

(2) 非一様構造を考慮したシストリックアルゴリズム記述言語と処理系
  菅谷 至寛, 阿曽 弘具(東北大学大学院工学研究科)

(3) 最小全域木を生成した遺伝的アルゴリズムによる直線スタイナー問題
  吉村 昌浩, 渋沢 進(茨城大学)

--- 13:15〜15:15 ---

(4) CMOSパストランジスタ論理のためのセル開発とその応用
  田中秀樹(神戸大学大学院自然科学研究科), 瀧 和男, 村田豊(神戸大学工学部情報知能工学科), 宇田研一郎(神戸大学大学院自然科学研究科)

(5) 非明示的列挙を用いたパタンマッチングについて
  松永 裕介((株)富士通研究所)

(6) 複数の制御部を持つ同期式順序回路に対する不変式の形式的検証法
  齋藤義勝, 竹中崇, 北道淳司, 船曵信生(大阪大学大学院基礎工学研究科 情報数理系専攻)

(7) メディアプロセッサD30Vの検証手法
  中木村清, 吉田豊彦, 山田朗, 佐藤尚和(三菱電機(株)システムLSI開発研究所), 毛利篤史(三菱電機(株)情報技術総合研究所)

--- 15:30〜17:30 ---

(8) アーキテクチャレベルでの消費電力の見積もりに関する研究
  木村 勉(豊田高専 情報工学科), 森藤 孝文, 武内 良典,今井正治(大阪大学 大学院基礎工学研究科 情報数理系専攻), 引地信之((株)SRA)

(9) 1chipAVデコードを実現する非均質マルチプロセッサアーキテクチャ
  落合 利之, 吉岡 康介, 木村 浩三, 平井 誠, 清原督三(松下電器)

(10) 競合による予測精度低下を緩和する分岐予測機構
  野口良太, 森 敦司, 小林 良太郎, 安藤 秀樹, 島田 俊夫(名大)

(11) 連接する変数の生存区間に着目したレジスタ割付けの一方式
  田中 旭, 佐山 旬子, 小谷 謙介, 湯川 博司, 丸山幸孝(松下電器産業(株)半導体開発本部)

*研究会終了後,懇親会を予定しています.

*翌日(12日)には同じ会場で電子情報通信学会コンピュータシステム研究会,VLSI設計技術研究会の共催研究会が開催されます.

 

第87回設計自動化研究会 (発表件数: 8件)

日時: 平成10年1月30日(金) 13:00 〜 17:15
会場: 情報処理学会事務局会議室
議題: 一般

[13:00〜17:15]

(1) オンライン論理設計検証システム
○木村智雄,大内晴美,鈴木五郎(日立)

(2) 状態変数の動的削除を用いた状態数え上げの効率化
○樋口博之,松永裕介(富士通研)

(3) 自律再構成可能アーキテクチャ
○永見康一,塩澤恒道,小栗清(NTT)

(4) 信号経路に対するタイミング制約を考慮した回路分割手法
○南淳一朗,小出哲士,若林真一(広島大)

(5) タイミング制約を伴う概略配線問題に対するバッファ挿入と配線幅の選択を許したスタイナ木構成手法
○大釜浩介,小出哲士,若林真一(広島大)

(6) 非交差道を用いたプリント基板配線領域の見積り手法
○阿部健志,渡邉敏正(広島大)

(7) 遺伝的アルゴリズムを用いた概略配線手法
◯金杉昭徳,高原敦史(埼玉大)

(8) 遺伝的アルゴリズムを用いた詳細配線手法
◯金杉昭徳,島山崇(埼玉大)

 

第88 回設計自動化研究会 (発表件数: 7件)

日時: 平成10年5月22日(金) 13:00 〜 16:55
会場: 情報処理学会事務局会議室
議題: レイアウトと一般

[13:00〜16:55]

(1) 動的再構成可能FPGAの設計とそれへの並列アルゴリズムの実装
○糸将之,北道淳司,船曳信生(阪大)

(2) 遺伝的アルゴリズムを用いたウェーハ集積回路の再構成手法
○府川典文,金杉昭徳(埼玉大)

(3) 遺伝的アルゴリズムによるクロック配線手法
○吉田賢司,金杉昭徳(埼玉大)

(4) 分布定数回路の遅延感度解析に基づくクロック配線最適化手法
○中嶋雄一郎,鈴木将貴,戸川望,柳澤政生,大附辰夫(早大)

(5) プリント板の斜め配線コンパクション手法とその評価
○菊地秀雄(NEC)

(6) プログラマブルコントローラ用論理図の自動レイアウトプログラム
○福田光子,山田直之,高野芳行(日立)

(7) 1998 International Symposium on Physical Design 報告
○長尾明(シャープ),村田洋(U.C. Berkeley),神戸尚志(シャープ)

 

第89 回設計自動化研究会

デザインガイア’98

〜 VLSI設計の新しい大地を考える研究会 〜

1998/09/21,22

於 福岡ソフトリサーチパークセンタービル

             情報処理学会計算機アーキテクチャ研究会(ARC)
             電子情報通信学会コンピュータシステム研究会(CPSY)
             情報処理学会設計自動化研究会(DA)
             電子情報通信学会フォールトトレラントシステム研究会(FTS)
             電子情報通信学会集積回路研究会(ICD)
             電子情報通信学会 VLSI 設計技術研究会(VLD)

来る9月21日(月)、22日(火)に福岡ソフトリサーチパークで上記6研究会の共催の研究会が開催されます。計算機システムのアーキテクチャから、VLSIの設計技術、CAD技術に至る広い分野の研究者が一堂に会して、21世紀のVLSIシステムについて議論を行ないたいと考えています。最新の技術や研究の情報収集のチャンスです。論文発表、御参加をよろしくお願いします。

6研究会の共通企画としまして、システムLSIの未来像を彷彿させる話から設計経験に根ざした提言までの招待講演を予定しています。全体企画講演ならびに各研究会からの御推薦による講演で、21世紀のVLSI設計についての議論を致します。

┌───────┐
│懇親会について┃
└━━━━━━━┛

21日の夜、展望レストランにおいて懇親会 (会費 5000 円) を予定しています。ぜひ御参加下さい。懇親会については8月31日(月)までに下記の小川まで E-mail または FAXで御連絡下さい。お名前、御所属、連絡先、電子メールアドレスをお忘れなく。

懇親会申し込み先: 小川 公裕 (VLD幹事)
〒243-0014 神奈川県厚木市旭町 4-14-1
ソニー セミコンダクターカンパニー 設計技術部
TEL: 0462 30 5352 FAX: 0462 30 6225
E-mail: kimihiro@saskg.semicon.sony.co.jp

 

09/21

12:30-13:40 SRPホール 基調講演
インテリジェント味覚センサが人間の舌を超える!
都甲潔(とこう きよし)(九大)

14:00-16:00 SRPホール 招待講演

  14:00 配置の数理:多数の矩形を効率良くめ込む新方法とそのVLSIレイアウトへの応用
       梶谷洋司(東工大)

  14:40 21世紀への提言-MPEG2の設計経験から
       石川敏郎(ソニー)

  15:20 VLSIアーキテクチャと設計自動化技術の将来
       小栗清(NTT)

16:30-18:00 SRPホール 一般講演

16:30 動画像復号化と3次元グラフィクスで共用可能なメディアプロセッサ向け演算回路の設計
○藤嶋秀幸(阪大,九州松下電器), 竹本祐介, 米田友和, 尾上孝雄, 白川功(阪大)

17:00 適応デバイスRHWの概要とマッピング手法
○山内宗, 中谷正吾, 犬尾武, 梶原信樹(RWCP) 

17:30 Self-Timed Implementation of Boolean Functions
       ○Mart saarepera, 米田友洋(東工大)

18:30 展望レストラン 懇親会 ¥5000 呑み放題

 

09/22

09:00-18:20 視聴覚研修室 SRPホール1/2 SRPホール2/2 並行一般講演

視聴覚研修室 ARC プロセッサ・アーキテクチャおよび一般

━━━━━━ CPSY/DA システム設計と CAD 技術および一般

ARC プロセッサ・アーキテクチャおよび一般

09:00 SIMD型並列処理における分岐支援機構に関する提案
○佐野雅彦(徳島大), 高橋義造(大阪工大)

09:30 分岐先アドレスの性質を利用した2レベル表による分岐先バッファの容量削減
○山田祐司, 小林良太郎, 安藤秀樹, 島田俊夫(名大)

10:00 VLDPアーキテクチャにおける実行パス制御機構
○高峰信, 中村友洋, 吉瀬謙二, 辻秀典, 安島雄一郎, 坂井修一, 田中英彦(東大)

10:30 自動応答感度調節機構を備えたビジョンチップシステム
○本田晃, 亀田成司, 八木哲也(九工大)

11:00 プラスティックセルアーキテクチャにおけるオブジェクト間通信のためのメッセージ自己ルーティングアルゴリズム
○中根良樹、松田潤一(京大)、永見康一、小栗清(NTT) 、中村行宏(京大)

11:30 プログラマブルデバイスを用いた可変構造シミュレーションシステム
       ○野口裕,最所圭三,福田晃(奈良先端大)

昼食

CPSY/DA システム設計と CAD 技術および一般

13:00 パイプラインストールを除去した遺伝的アルゴリズム専用ハードウェアの実現
○北浦理・杉浦弘幸・川合隆光・安藤秀樹・島田俊夫(名大)

13:30 A VLSI Architecture for Fractal Image Coding
○Shinhaeng Lee・Hirotomo Aso(東北大)

14:00 フラクタル画像圧縮の再構成可能アーキテクチャによる実現法
○松浦昭洋・永野秀尚・名古屋彰(NTT)

14:30 動的再構成可能回路を用いた新しい電磁粒子シミュレーション回路の設計
       ○上田義勝・中村行宏(京大),塩澤恒道・須山敬之(NTT)

休憩

15:10 機能メモリを使用したプロセッサを対象とするハードウェア/ソフトウェア協調合成システム
○寺島信・戸川望・柳澤政生・大附辰夫(早大)

15:40 システムLSIのコンカレントデザイン環境 - 1チップMPEG-2 MP@MLエンコーダLSIへの適用 -
○落合克幸・岩崎裕江・長沼次郎・遠藤真(NTT)

16:10 DCVSLを使用した非同期式細粒度パイプライン・データパスの論理合成
○今井雅・中村宏・南谷崇(東大)

16:40 変数の重なりのない単純な関数分解を用いた組合せ回路の改善方法
       ○澤田宏・山下茂・名古屋彰(NTT)

休憩

17:20 大規模論理回路分割に関する一手法
○上土井陽子(広島市大), 若林真一(広島大), 吉田典可(広島市大)

17:50 FPGAの配線問題に対する貪欲法とニューラルネットワークを併用した3段階アルゴリズムの提案
       ○江川晋爾・黒田映史・北道淳司・船曳信生(阪大)

 

FTS/ICD/VLD 「VLSIの設計/検証/テストおよび一般」

SRPホール1/2 FTS/ICD/VLD-1 評価システム,DAアルゴリズム,設計事例

━━━━━━━━━

評価・評価システム 5件

09:00 高性能/低消費エネルギを実現するウェイ予測セット・アソシアティブ・キャッシュ方式の提案および評価
○井上弘士, 石原亨, 村上和彰(九大)

09:30 VDEC 向けスタンダードセルライブラリの評価
○兵頭章彦, 石原亨, ジャマルエディンカレッド, 安浦寛人(九大)

10:00 SOCの事前アーキテクチャ、事前ソフトデバグのためのC++シミュレータ
○黒川秀文(NEC)

10:30 CMOS VLSI における最大電流評価システムPANGIの構築
○村山敏夫, 小川公裕, 山口治彦(ソニー)

11:00 VCOジッターシミュレーション及び測定との比較
       ○高橋昌幸, 小川公裕(ソニー), Kenneth S. Kundert(Cadence)

昼食

DAアルゴリズム 3件

13:00 相転移温度を利用した高速アニーリングによる高集積トランジスタ配置手法
〇雑賀俊二, 福井正博(松下電器), 大江あけみ(近畿大), 豊永昌彦(松下電器), 秋濃俊郎(近畿大)

13:30 FPGAの配線構造に着目した高速配線処理
〇室岡孝宏, 高原厚, 宮崎敏明(NTT)

14:00 準同期式回路の実現に適したクロック木構成法
       ○西川慎哉, 高橋篤司, 梶谷洋司(東工大)

休憩

設計事例 6件

14:40 新終了予測加算器の設計及びマイクロプロセッサへの応用(仮)
○鄭 若丹彡, 浅田邦博(東大)

15:10 再帰的最尤復号アルゴリズムを用いた誤り訂正復号器のVLSI設計
○滝大輔, 藤田玄, 尾上孝雄, 白川功, 藤原融(阪大), 嵩忠雄(広島市大)

15:40 ソフトコアIPを用いた低消費電力LSI設計
○堀貴代秀, 瀧和男(神戸大)

16:10 メガゲートASICのインプリメント設計
◯古賀智昭, 坂本秀樹, 津田昌行, 大浜章, 山川徳敏(富士通九州ディジタル), 内場誠, 大里正孝(富士通九州通信)

16:40 VHDLによるメガガートLSIの開発・設計
○藤田英雄, 藤内俊一, 河原畑光一(富士通九州通信), 馬場暁, 若吉光春, 前原昭和(富士通九州ディジタル)

17:10 2.7ns 0.25μmCMOS 54x54b乗算器 -設計・検証技術-
       ○萩原靖彦, 吉川篤志, 乾重人, 中里聡, 入来重好, 山品正勝(NEC)

 

FTS/ICD/VLD 「VLSIの設計/検証/テストおよび一般」

SRPホール2/2 FTS/ICD/VLD-2 合成,テスト,フォールトトレラントシステム

━━━━━━━━━

合成 6件

09:00 論理関数分解の高速化について
○笹尾勤(九工大)

09:30 DECOMPOS:論理関数分解システム
笹尾勤,○松浦宗尋(九工大)

10:00 Co-designシステムにおけるシステム仕様記述言語
○玉垣 裕, 片岡 庄一(オムロンソフト)

10:30 テクノロジマッピングにおけるパタンマッチングの高速化について
○松永裕介(富士通研)

11:00 パストランジスタ論理合成手法の検討
○山内貴行, 本庄浩, 藤本徹哉(シャープ)

11:30 FBDDの厳密最小化とパストランジスタ論理回路の合成への応用
       ○畠田宏司, 高木一義, 木村晋二, 渡邉勝正(奈良先端大)

昼食

テスト 5件

13:00 DSPコアの開発環境とテスト手法
○松下義則, 佐野孝幸, 元田武文, 谷口朗子, シイド・イクラム・フセイン(日本TI)

13:30 時間展開モデルを用いた無閉路順序回路のテスト系列圧縮について
○細川利典(松下電器), 井上智生(奈良先端大), 平岡敏洋(松下電器), 藤原秀雄(奈良先端大)

14:00 到達可能状態を考慮したパーシャルスキャンFF選択手法
○大豆生田利章, 伊藤秀男(千葉大)

14:30 BIST向け検査点挿入方式における遅延・面積オーバーヘッドの低減
○中尾教伸, 小林誠治,畠山一実,飯島一彦(日立), 寺田聖二(日立ビジネスソリューション)

15:00 テスト時同期化技術を用いたパーシャルスキャン設計方式
       ○宮崎政英, 畠山一実, 彦根和文(日立)

休憩

フォールトトレラントシステム 5件

15:40 Boolean Matching under variable permutation using representative
○Debatosh Debnath, 笹尾勤(九工大)

16:10 pバージョン・コンフィギュレーションの信頼度最大化
○森永聡(NEC)

16:40 ハードリアルタイムシステムの形式的な階層設計手法
○山根智(島根大)

17:10 ソフトウェアフォールトトレランスのための並列分散プロセッサ構成に関する一考察
○小川聰(NTT)

17:40 民生MPUを採用した宇宙用フォールトトレラント計算機の設計
       ○河原哲雄, 鈴木秀人, 関口毅(NASDA), 小野澤完, 浜谷明, 木村恒一(NEC)

 

 

第90回設計自動化研究会(発表件数:32件)

情報処理学会設計自動化研究会は電子情報通信学会コンピュータシステム研究会(CPSY),VLSI設計技術研究会(VLD)と共催で,12月10日,11日に広島市において「FPGAとその応用」をテーマとする研究会を開催いたします.近年,急速に普及しつつあるFPGA(Field Programmable Gate Array)等のプログラム可能論理デバイスについて,多角的な視点から31件の講演が行われることになっておりますので,皆様の積極的なご参加をお願いします.

また,2日目(11日)の午前中には「大学および企業における設計者教育 - 大学が目指す教育,企業が望む教育 -」というテーマでパネル討論も企画しております. VDECの設立を1つのきっかけとして多くの大学においてLSI設計教育が本格的に導入されようとしていますが,大学が目指す設計教育と,企業が大学に望む設計教育とは必ずしも一致しない点があるように見受けられます.そこで,大学において実際に設計教育を担当されている先生方,および企業において設計に実際に携わっている方もしくは企業における設計者教育を担当されている方をパネリストとしてお招きし,弘中哲夫先生(広島市立大学)の司会で大学および企業における設計者教育について徹底討論していただくことを企画いたしました.皆様のご参加をお願いしますと共に,会場からも積極的に討論に加わっていただければ幸いです.

さらに,1日目の夜には懇親会も企画しております.広島の夜,瀬戸内の魚と広島の酒を心ゆくまでお楽しみ下さい.懇親会の後は広島名物お好み焼を賞味されるもよし,西日本有数の歓楽街である流川(ながれかわ)でさらに広島の味を楽しまれるのもよろしいのではないかと存じます.なお,懇親会に参加される方は事前に参加申し込みされるようお願い申し上げます.また,広島市内の宿泊情報が必要な方はここをクリックして下さい.

日時:平成10年12月10日(木) 9:30-18:15
         11日(金) 9:00-17:45

会場:広島工業大学広島校舎501教室
(広島市中区中島町5-7.JR広島駅より市内電車もしくは市内バスの紙屋町経由宇品行きで袋町下車.100m道路平和大橋西詰南へ50m(徒歩3分).もしくは広島平和記念公園前より元安川西岸を南に下り徒歩5分.Tel(082)249-1251.会場の周辺地図,および会場への交通の詳細については,ここをクリックして下さい.なお,研究会会場は広島工業大学の本部キャンパスではありませんので,ご注意下さい)

議題:FPGAとその応用および一般

10日午前

9:30-12:00

●FPGA応用と再構成可能アーキテクチャ(1)

1. 自律再構成可能な格子結合型マルチプロセッサのハードウェア構成
○山田順也・阿部 亨・堀口 進(北陸先端大)

2. 仮想ハードウェアWASMIIシステム用コンパイラの実装と評価
○高山篤史・柴田裕一郎・宮崎英倫・天野英晴(慶大)

3. 再構成可能な同期式データフロー計算機に関する一検討
○佐々木浩志(東北大)・槻岡秀朗・庄司修芳(フレンドリーシステムズ)・小林広明・中村維男(東北大)

4. 自己組織化学習アルゴリズムのFPGAシステムによる高速化
○竹谷史生・岩崎理典・吉永努(宇都宮大)・荒井正之(帝京大)・大津金光・馬場敬信(宇都宮大)

5. 再構成可能なハードウェアを用いた充足可能性問題の解法
○須山敬之・横尾 真・名古屋彰(NTT)

6. FPGAによるリアルタイム制御回路用のFail Safe回路
○中條直也(豊田中央研)・橋山智訓(名古屋産業科学研)・古橋 武・大熊繁(名大)

 

10日午後

13:00-15:05

●FPGA応用と再構成可能アーキテクチャ(2)

7. FPGAを用いて事例データを直接回路化したパターン認識用集積回路
○安永守利・高見知親(筑波大)

8. FPGA向け逆数計算回路の設計
○尾形 航・笠原博徳(早大)

9. 新しい冗長数表現に基づくディジタル信号処理用FPGAの構成
○澤田善樹・青木孝文・樋口龍雄(東北大)

10. デバイス・シミュレーション・エンジンの一構成法
○冨田栄作・山本利雄・井口幸洋・冨澤一隆(明治大)

11. サイクルベース・シミュレーション・エンジンの一構成法
○伊勢野 総・井口幸洋(明治大)・笹尾 勤・松浦宗寛 (九工大)

 

15:05-15:20 休憩

 

15:20-18:15

●論理合成,マッピング,配置配線

12. 高速な直交分解アルゴリズムと論理合成への応用
○松永裕介(富士通研)

13. 論理関数の種々の分解手法を統合したLUT回路合成法
○山下 茂・澤田 宏・名古屋彰(NTT)

14. FPGA上の組合せ回路および順序回路のための新しい論理関数表現法
○安岡孝一(京大)

15. LUTアレイ用非同期論理回路の合成法
○小西隆介・小栗 清・伊藤秀之・永見康一(NTT)

16. プラスティックセルアーキテクチャへのアレイ型論理マッピング手法
〇菅 竜二・泉 知論・中村行宏(京大)

17. FPGAのマクロブロックを対象とした配置概略配線同時処理手法
◯井上大輔・戸川 望・柳澤政生・大附辰夫(早大)

18. 最大フロー手法を応用した論理回路モデルグラフの最小カット列挙法と回路分割手法
○畔上謙吾・高橋篤司・梶谷洋司(東工大)

 

18:30-20:00 懇親会

 

11日午前

9:00- 9:50

●FPGA設計環境と設計教育(1)

1. 「もの作り」を指向したASIC設計教育
○神酒 勤・小林史典・山川 烈(九工大)

2. FPGA設計用統合環境を用いたASIC設計事例
○小林和淑(京大)・神原弘之(京都高度技術研究所,京大)・小野寺秀俊・田丸啓吉(京大)

9:50-10:00 休憩

10:00-12:00

●パネル討論

3. [パネル] 大学および企業における設計者教育 - 大学が目指す教育,企業が望む教育 -
オーガナイザ::弘中哲夫(広島市立大)
パネリスト:: 越智裕之(広島市大),久我守弘(熊本大),
       小林和淑(京大),森江 隆(広島大),
       山品正勝(NEC),廉田浩(松下電器),樋口光雄(富士通)

 

11日午後

13:00-13:50

●FPGA設計環境と設計教育(2)

4. 内部観察機能を強化した教育用マイクロプロセッサの設計とFPGAによる実現
○大山光男(倉敷芸術科学大)

5. 教育用パイプライン処理マイクロプロセッサ PiPICO
○西村克信・額田多政・天野英晴(慶大)

 

13:50-15:05

●FPGA応用と再構成可能アーキテクチャ(3)

6. 通信処理用エミュレータのプログラマブル信号プローブ法
○片山 勝・高原 厚・宮崎敏明(NTT)

7. CPLDを使用したワークステーションクラスタ用同期コントローラ
〇早川 潔・関口智嗣(電総研)

8. 分散共有メモリ管理プロセッサMBP-lightのアセンブラおよびコンパイラの実装と評価
○阿部 剛・井上浩明・美辺央希・天野英晴(慶大)

 

15:05-15:15 休憩

 

15:15-17:45

●システム設計,低消費電力設計

9. DSP向けリターゲッタブルコンパイラの演算器/転送経路のバインディング手法
○服部靖史・石浦菜岐佐・山口雅之(阪大)

10. VHDLで記述されたシステム仕様のプロセスレベルでのHW/SW分割の一手法
○城代佳範・北嶋 暁・武内良典・今井正治(阪大)

11. 周期性優先のハードリアルタイムシステム設計手法
○藤懸英昭・安浦寛人(九州システム情報技術研究所)

12. マルチプロセッサの消費電力削減のためのジョブスケジューリングアルゴリズム
○横丸敏彦・高橋篤司・梶谷洋司(東工大)

13. 可変電源電圧プロセッサに対するリアルタイムタスクスケジューリング手法
○大隈孝憲・石原亨・安浦寛人(九大)

14. フレキシブル・システムLSIチップを用いた組み込みシステム設計手法
○井上昭彦・安浦寛人(九大)

 

◎パネル討論については(株)半導体理工学研究センター(STARC)のご協力をいただいています.

◎12月10日(木)の研究会終了後,以下の予定で懇親会を開催いたします.奮ってご参加下さい.なおその場合は,事前にe-mailもしくはFAXで参加申込をお願いいたします.
  日時:平成10年12月10日(木)18:30-20:00
  会場:広島工業大学広島校舎201教室
  会費:5000円
  申込先:広島工業大学工学部電気工学科 大村道郎
  Tel: (082)921-3121 ext.350, Fax: (082)923-2889
  E-mail: ohmura@cc.it-hiroshima.ac.jp

 

宿泊情報

広島市内のホテルについては以下のホームページをご参照下さい.研究会会場が平和記念公園の近くなので,宿泊される場合は平和記念公園,紙屋(かみや)町,本通り(ほんどおり),などに近いホテルの方が便利です. JR広島駅周辺にも多くのホテルがありますが,研究会会場に行くには少し時間がかかります.

  広島市観光ホテル旅館連盟

  日本交通公社

会場から一番近いホテルは,研究会の会場が面している元安(もとやす)川の対岸に建っていますホテルサンルート広島(082-249-3600)です.シングル料金は8500円と8200円であり,これに10%のサービス料と,さらに5%の消費税がかかります.なお,電子情報通信学会の会員の方は料金が10%割引きされますので,ホテル予約時に学会員であることをホテルに伝えて下さい.また,チェックイン時には会員証をホテル受け付けに提示して下さい.

会場から比較的近くて(徒歩10分程度)宿泊料金の安いホテルとしてはホテル法華(ほっけ)クラブ広島店(082-248-3371)があります.シングル料金が5700円で,これに5%の消費税がかかります.電子情報通信学会員の方はホテル法華クラブも宿泊料金が10%割引きされます.予約時に学会員であることをホテルに伝えて下さい.またチェックイン時には会員証をホテル受け付けに提示して下さい.

上記以外のホテルでも電子情報通信学会会員であれば東急イン,ワシントンホテル,チサンホテルなどは宿泊料金の割り引きがありますので,電子情報通信学会の会員特典のホームページでご確認下さい.なお,上記のホテルサンルート広島とホテル法華クラブについては電子情報通信学会のホームページでは予約センターに電話するように記載されていますが,直接,宿泊先ホテルに電話しても電子情報通信学会会員であることを伝えれれば割り引きが受けられます.なお,残念ながら,情報処理学会にはホテル宿泊料金の割り引きの会員特典はないようです.

12月はホテルが込み合う時期のようですので,なるべく早めにホテルを予約されることをおすすめします.

 

 

第91回 設計自動化研究会   (発表件数:16件)

日時   平成11年2月4日(木)13:00〜16:45
           5日(金) 9:30〜15:30

会場  機械振興会館地下3階1号室(東京都港区芝公園3-5-8, Tel.03-3433-6691)

議題  テーマ:VLSI設計とテストおよび一般

2月4日(木)

[13:00〜15:00] ●組込み自己テスト(BIST)

(1) BIST用TPGにおけるATPGテストベクトルの利用
 浅川毅,出崎善久,岩崎一彦(都立大)

(2) FPGAs Complete Fault Diagnosis Based on Binary Tree BIST Method
 Doumar Abderrahim,大豆生田利章,伊藤秀男(千葉大)

(3) BIST向け検査点挿入方式のFF共用に関する考察
中尾教伸,小林誠治,畠山一実,飯島一彦(日立),寺田聖二(日立ビジネスソリューション)

(4) 内蔵プロセッサを利用したマイクロコントローラのテスト高速化に関する考察
 大坪裕,井上智生,藤原秀雄(奈良先端大)

 

[15:15〜16:00] ●招待講演(1)

(5) メモリ仮想テスタ技術と今後の展開
 佐藤正幸(日立)

 

[16:00〜16:45] ●招待講演(2)

(6) DRAM混載ロジックLSIの現状と課題
古山透(東芝)

 

2月5日(金)

[9:30〜12:00] ●テストと診断

(7) ホールド機能を考慮した順序回路のテスト容易化設計法
 佐野ちいほ,井上智生,藤原秀雄(奈良先端大)

(8) 到達不能状態に基づく順序回路の冗長信号線の同時除去法
 四柳浩之,橋爪正樹,為貞建臣(徳島大),樹下行三(阪大)

(9) パス遅延故障のテストにおけるパス選択手法について
 村上敦,梶原誠司,笹尾勤(九工大),スダーカ M. レディ(アイオワ大)

(10) トランジション故障に対するテストパターンの極小化手法について
 梶原誠司(九工大),イリス ポメランツ,スダーカ M. レディ(アイオワ大)

(11) 単一/多重故障シミュレーションに基づく組合せ回路の多重縮退故障に対する一診断法
 高橋寛,クワメ オセイ ボアテン,高松雄三(愛媛大)

 

[13:00〜15:30] ●一般

(12) モデル検査法を用いた鉄道信号システムの連動仕様検証
 川村正(三菱電機)

(13) 遺伝的アルゴリズムによるネットワーク信頼性向上問題解法の一手法
 上田正直,松原隆,古賀義亮(防衛大)

(14) 迷路法と遺伝的アルゴリズムに基づく一層配線手法
 金杉昭徳(埼玉大)

(15) ULSI多層配線に対するバッファ挿入と配線幅を考慮したタイミングドリブン階層概略配線手法
 出口貴浩,小出哲士,若林真一(広島大)

(16) 2種類のレジスタファイルを持ったディジタル信号処理向けプロセッサのハードウェア/ソフトウェア協調合成システムとその並列化コンパイラ
 中村剛,戸川望,柳澤政生,大附辰夫(早大)

*電子情報通信学会(フォールトトレラントシステム研究会)と共催.

 

 

 

研究会開催予定へ 

設計自動化研究会のホームページへ